entity Mux_4 is port(input1,input2,input3,input4:in std_logic; mux_1,mux_2: in std_logic; mux_outut std_logic); end Mux_4; architecture Behavioral of Mux_4 is signal sel : std_logic_vector(1 downto 0)
Thank you for your answers!I refer to the DS18B20 program of the expert, and it can be used, but there are a few sentences that I don't understand. Please guide me: (Excerpt from the program)const flo
I just came into contact with this board. I have been learning it before. I made a photoelectric heart rate transmitter for mobile phones. Now I want to do visible light communication. I use RS encodi
[i=s] This post was last edited by dontium on 2015-1-23 13:26[/i] -------------------------- ---- LED.pjt - Debug -------------------------------[Delay.c] \"c:\\ ti\\c5500\\cgtools\\bin\\cl55\" -g -q
Recruiting part-time lecturers or part-time technical support personnel for motor control, short-term training or technical support, weekends.Beijing, Shanghai, Guangzhou, Shenzhen, Chengdu, Wuhan, Xi
Please tell me, when I read the .h file, I can't understand this sentence*(volatile u16 *)PMST = PMST_VAL;To be honest,I feel a headache when I see the symbol *, and I cry...